单选题

CLK的含义为().

A. 数据
B. 时钟
C. 挂机

查看答案
该试题由用户813****86提供 查看答案人数:6919 如遇到问题请 联系客服
正确答案
该试题由用户813****86提供 查看答案人数:6920 如遇到问题请联系客服

相关试题

换一换
单选题
CLK的含义为().
A.数据 B.时钟 C.挂机
答案
主观题
中国大学MOOC: 语句always @ (posedge CLK or negedge RST)表示含义为
答案
单选题
CLK'EVENT AND CLK='1'表示CLK的()
A.上升沿 B.下降沿 C.高电平 D.低电平
答案
单选题
以下代码描述的是?always @ (posedge clk_in) if (reset) begin clk_out <= 1’b0; end else if (enable) begin clk_out <= ! clk_out ; end()
A.分频器 B.计数器 C.移位器 D.触发器
答案
主观题
中国大学MOOC: 基于initial语句产生普通时钟信号,parameter clk_period = 10;reg clk;initial begin clk = 0; ________________;end
答案
单选题
含清0控制的锁存器module LATCH3(CLK,D,Q,RST);output Q;input CLK,D,RST;____ Q;[email protected](D or CLK or RST)if (!RST) Q<=0;else if (CLK) Q<=D;endmodule空格处应该填入()
A.reg B.input C.RST D.CLK
答案
单选题
下列Verilog HDL程序所描述的电路是()module MED(Q, DATA,CLK)input DATA,CLK;output Q;reg Q; always @ (posedge CLK) begin Q <= DATA; endendmodule
A.D触发器 B.T触发器 C.RAM D.寄存器
答案
主观题
下面Verilog代码对应的输出波形为? `timescale 1ns/1ns module test; reg clk,rst; initial fork clk=0; rst=1; #10 rst=0; #20 rst=1; forever begin #10 clk=1; #5 clk=0; end join endmodule
答案
主观题
什么是clk时钟信号?
答案
单选题
含清0控制的锁存器module LATCH2(CLK,D,Q,RST);output Q;input CLK,D,RST;assign Q=(!RST)? ____:(CLK?D:Q);endmodule空格处应该填入()
A.CLK B.D C.Q D.0
答案
热门试题
若因为某些原因CLK发生跳变,通过方式可以使ATS系统时钟与CLK时钟再次同步() module SHIF4(DIN,CLK,RST,DOUT);input CLK,DIN,RST; output DOUT;reg [3:0] SHFT;[email protected](posedge CLK or posedge RST)if (RST) SHFT<=4’B0;else begin SHFT<=(SHFT>>1);SHFT[3]<=DI module CNT4 (CLK,Q); output [3:0] Q; input CLK;reg [3:0] Q ;always @(posedge ____)Q <= Q+1 ; endmodule() 如下Verilog HDL程序所描述的是一个触发器,对它的描述正确的是()module FF(Q,DATA,CLK)input DATA,CLK;output Q;reg Q; always @ (posedge CLK) begin Q <= DATA; endendmodule 中国大学MOOC: 下边程序实现上升沿触发的D触发器的功能,请在括号处将程序补充完整。( )module DFFl (ClK, D,Q) ; output Q ; input ClK , D ; ( ); always @ (posedge ClK) Q <= D ;endmodule 中国大学MOOC: module CNT4 (CLK,Q); output [3:0] Q; input CLK;reg [3:0] Q ;always @(posedge ____)Q <= Q+1 ; endmodule 下列Verilog HDL程序所描述的是一个计数器,该计数器的模是()module count(CLK,OUT);input CLK; output reg [3:0] OUT; always @(negedge CLK)begin if(OUT = =4’d11) OUT <= 0;else OUT <= OUT +1; end endmodule module SHFT1(CLK,LOAD,DIN,QB); output QB; input CLK,LOAD; input[7:0] DIN; reg[7:0] REG8; always @(posedge CLK ) if (LOAD) REG8<=DIN ; else ____<=REG8[7:1]; assign QB = REG8[0] ; endmodule空格处应该填入 CLK模板尺寸大小为__,模板上的洞口大小为__ module SHFT1(CLK,LOAD,DIN,QB); output QB; input CLK,LOAD; input[7:0] DIN; reg[7:0] REG8; always @(posedge CLK ) if (LOAD) REG8<=DIN ; else REG8[6:0]<=REG8[7:1]; assign QB = REG8[0] ; endmodule该程 标准件CLK的尺寸为,中间洞孔尺寸为() 在GSM手机电路中,“M-CLK”一般表示() 要使电平触发D触发器置1,必须使D=、CLK= 外部CLK2的频率是80386内部时钟信号频率的()倍。 中国大学MOOC: module initial_fork_join();reg clk, reset, enable, data;initial fork #1 clk = 0; #10 reset = 0; #5 enable = 0; #3 data = 0;joinendmodule以上程序执行完成共需要____个时间单位。 DDR线中的CLK线与其它线一般应保持( )间距。。 module FDIV0(input CLK, RST,input [3:0] D, output PM, output [3:0] DOUT);reg [3:0] Q1; reg FULL;wire LD;[email protected](posedge CLK or negedge RST) if (!RST) begin Q1<=0; FULL<=0; end els 主从RS触发器在CLK=1期间,RS之间不存在约束() 工作在方式时,OUT引脚能输出一个CLK周期宽度的负脉冲() 异步方式下,825lA的时钟CLK比数据传输率大()倍。
购买搜题卡 会员须知 | 联系客服
会员须知 | 联系客服
关注公众号,回复验证码
享30次免费查看答案
微信扫码关注 立即领取
恭喜获得奖励,快去免费查看答案吧~
去查看答案
全站题库适用,可用于E考试网网站及系列App

    只用于搜题看答案,不支持试卷、题库练习 ,下载APP还可体验拍照搜题和语音搜索

    支付方式

     

     

     
    首次登录享
    免费查看答案20
    微信扫码登录 账号登录 短信登录
    使用微信扫一扫登录
    登录成功
    首次登录已为您完成账号注册,
    可在【个人中心】修改密码或在登录时选择忘记密码
    账号登录默认密码:手机号后六位